Sunday, May 13, 2012

Cadence releases latest Encounter RTL-to-GDSII flow

Rhythmicity releases fashionable Joining RTL-to-GDSII hemorrhage
Cadence Plan Systems lately introduced the fashionable waiver of Rhythmicity Connexion RTL-to-GDSII current for high-performance and giga-scale designs, including those at the newest study guest, 20 nanometers.

Rahul Deokar, product direction supervisor, said: "We are addressing specializer challenges in - pinched performance organisation, giga-scale decoration and sophisticated symptom plan. The rank object is the PPA - nation execution and area. Incoming contend is to manipulate giga-scale designs with expeditious area indication. The bag key dispute is speedy time-to-market on sophisticated 28nm/20nm program."


With this current hand, Cadence provides the industry's superior PPA. Sec, it is providing 1 cardinal enterpriser on contriver desktops. Bag, it provides the fastest route to 20nm digital program. In the new annunciation, there are triad new technologies - GigaOpt + CCOpt, GigaFlex, and 20nm flex patterning.

Rhythmicity has introduced "GigaOpt" - a popular optimization engine that unifies somatic reasoning and optimization. GigaOpt provides designers globally best results across the front-end and back-end of the ornament motion. And that results in the help of the industry's superior PPA for high-performance designing. GigaOpt has been fashioned from handwriting to be multi-threaded, which makes it ultra alacritous and ultra scalable.

Added creativeness, CCOpt, is the primary and only bailiwick in EDA to join timepiece thespian synthesis and somatogenetic optimization. CCOpt is now an entire leave of the Encounter flux accelerating ornamentation approach with the unsurpassable PPA. CCOpt facilitates:
* 10 percent melioration in program performance and count force.
* 30 pct reaction in quantify commonwealth and area.
* 30 percent reduction in IR discharge.

The Play flow now allows 1 cardinal gates to be enabled on the designer's desktops. This is done with the new GigaFlex construct subject. It is the early and exclusive field in EDA that enables flexile, straight theorisation filmable to the bleed initiate. There is 10X susceptibleness and TAT gains on 100 million+ example designs. GigaFlex generalization study allows surgical, archaeozoic somatic molding, concurrent top-and-block programme improvement, and concurrent hierarchical ending and late-stage ECO.

In 20nm arrangement, geometry features are leaving due to lithography falsification. Thence, there is a demand for image patterning. The new Connexion 20nm flux employs a correct-by-construction coming that spans floorplanning and prototyping, DPT arrangement, DPT routing, RC extraction, physical/DFM signoff, DRC, DPT, litho, timing and cognition signoff.

According to Deokar, this airway results in higher die region and ECO efficiency providing the fastest track to ornament approaching. "We are the eldest and only EDA vendor with an ARM Cortex A15 tapeout at 20nm. ARM, TSMC and Rhythmicity achieved this marking in waterproof and young cooperation. We are working with all key partners of the 20nm ecosystem now making reliable that the store is intelligent for our mutual customers."

Telecom give be the big wood in the close match of period. It present propulsion limits on performance, expanse, cause, functionality, etc. Technology, and computer (cloud technology) are whatsoever additional areas where the business is employed on reducing knowledge. And , the new Rhythmicity Receive RTL-to-GDSII bleed is poised to meliorate designers gibe these challenges.

No comments:

Post a Comment